Fabrication of silicon and glass devices for microfluidic bioanalytical applications

No Thumbnail Available
Journal Title
Journal ISSN
Volume Title
Faculty of Electronics, Communications and Automation | Doctoral thesis (article-based)
Checking the digitized thesis and permission for publishing
Instructions for the author
Date
2008-01-18
Department
Major/Subject
Mcode
Degree programme
Language
en
Pages
100, [72]
Series
VTT publications, 670
Abstract
This thesis introduces important improvements in fabrication of microfluidic devices on silicon and glass. With the main aim in surface and volume manipulation of aqueous solutions for subsequent biochemical analysis, the backbone of the work has been the development of plasma etching processes for silicon and glass. As the silicon microfabrication technologies are combined with deep anisotropic etching of glass, the processability of microfluidic applications with surface and volume manipulation of fluid is diversified. Several mask materials have been studied with respect to deep plasma etching of glass. As the demand for depth of microfluidic devices extends past 150 µm, the number of usable masking schemes becomes limited. To reach an etch depth beyond 350 µm with aspect ratio of over 3:1 including the mask, silicon shadow mask was used. The results of process development on Al2O3, AlN and TiO2 masks show that a very high etching selectivity on glass can be achieved with these mask materials. The described masking technologies enable e.g. high density of through-a-wafer holes or nearly vertical structuring of glass with great depth. Also, a silicon shadow mask was used for local tuning of hydrophobicity of C4F8 polymer on silicon and glass surfaces by pattering the polymer with O2 plasma through the shadow mask. For both purposes, one silicon shadow mask wafer can be re-used to enable lower processing costs. Thermal manipulation of fluid allows polymerase chain reaction on silicon and glass microchips, but also triggering of capillary action. However, the results of a novel method indicate possible lack of biocompatibility of oxidized silicon surfaces, which may limit the usable microchip surface materials. Microfluidic components with hydrophilic patterning for controlled capillary action can be combined with microphotonics through excitation of fluorescence with evanescent field, which has been characterized with a grating-coupled laser beam.

Työssä käsitellään mikrofluidististen rakenteiden valmistamiseen liittyviä parannuksia. Työn tavoitteena on ollut nesteiden kaksi- ja kolmiulotteinen manipulointi, jonka ytimessä on plasmasyövytysprosessien kehitys piille ja lasille. Piin mikrovalmistusteknologioiden yhdistäminen lasin suurien syvyyksien anisotrooppiseen plasmasyövytykseen luo edellytyksiä uudentyyppisten mikrofluidististen sovellusten valmistamiselle. Lasin plasmasyövytykseen on sovellettu useita eri maskimateriaaleja. Pyrittäessä yli 150 µm:n plasmasyövytyssyvyyteen lasilla maskivaihtoehtojen lukumäärä on vähäinen. Pyrittäessä yli 350 µm syvyyteen ja yli 3:1 aspektisuhteeseen työssä on käytetty piivarjomaskia. Erityisesti alumiinioksidi- ja -alumiininitridimaskeilla voidaan saavuttaa hyvin suuri syöpymisnopeussuhde lasiin. Työssä kuvattuja maskiteknologioita voidaan käyttää mm. läpivientien sekä lähes pystysuorien ja erittäin syvien lasirakenteiden syövyttämiseen. Piivarjomaskiteknologia soveltuu myös käytettäväksi paikallisten hydrofiilisten kuviointien valmistamiseen hydrofobiselle C4F8-plasmapolymeeripinnoitteelle O2-plasman avulla. Samaa varjomaskia voidaan käyttää moneen kertaan, josta seuraa ilmeisiä aika- ja kustannussäästöjä. Nesteiden lämpötilan muuttaminen mikrofluidistisilla alustoilla mahdollistaa mm. polymeraasiketjureaktion ja kapillaari-ilmiön voimakkuuden muuttamisen. Oksidoitujen piipintojen bioyhteensopivuus kuitenkin vaihtelee, minkä tutkimiseen on työssä käytetty uutta menetelmää. Nesteiden manipulointiin kykeneviä mikrofluidistisia rakenteita voidaan yhdistää fotoniikkaan esim. evanescent-kentän avulla, jota on tässä työssä karakterisoitu valmistamalla hiloja piinitridivalokanavaan, jonka päälle tuodaan fluoresoivia pisaroita.
Description
Keywords
glass, plasma etching, hydrophobic coating, shadow mask, polymerase chain reaction
Other note
Parts
  • Kolari K. and Hokkanen A., Tunable hydrophilicity on a hydrophobic fluorocarbon polymer coating on silicon, Journal of Vacuum Science and Technology A 24 (4), pp. 1005-1011, 2006.
  • Kolari K., Hokkanen A. and Stuns I., Self-feeding microfluidic structures on silicon and glass, Proceedings of SPIE 5839, pp. 323-332, 2005.
  • Kolari K., Deep plasma etching of glass with a silicon shadow mask, Sensors and Actuators A, in press.
  • Kolari K., Saarela V. and Franssila S., Deep plasma etching of glass for fluidic devices, In: 18th Workshop on Micromachining, Micromechanics and Microsystems, Guimarães, Portugal, 2007.
  • Kolari K., High etch selectivity for plasma etching SiO<sub>2</sub> with AlN and Al<sub>2</sub>O<sub>3</sub> masks, In: 33rd International Conference on Micro- and Nano-Engineering, Copenhagen, Denmark, 2007.
  • Dekker J., Kolari K. and Puurunen R., Inductively coupled plasma etching of amorphous Al<sub>2</sub>O<sub>3</sub> and TiO<sub>2</sub> mask layers grown by atomic layer deposition, Journal of Vacuum Science and Technology B 24 (5), pp. 2350-2355, 2006.
  • Kolari K., Satokari R., Kataja K., Stenman J. and Hokkanen A., Real-time analysis of PCR inhibition on microfluidic materials, Sensors and Actuators B, in press.
  • Kolari K., Hokkanen A., Kuittinen M., Simonen J. and Heimala P., Optimising a grating-coupled evanescent field excitation, Proceedings of SPIE 6189, 61891Z, 2006.
Citation
Permanent link to this item
https://urn.fi/urn:nbn:fi:tkk-011090